로고
(검색결과 약 17,101개 중 17페이지)
생명공학과 윤리
리포트 > 경영/경제    33페이지 
생명공학과 윤리 반대입장 INDEX 1 생명공학과 생명윤리 생명공학 [BIOTECHNOLOGY] 포괄적 의미 사전적 의미 “생물의 기능을 이용하는 기술” “생물이나 그 일부분을 변형함으로써 인간에게 이로운 생산물을 생..
디지털 공학 BCD adder Encoder
리포트 > 공학/기술    8페이지 
-목차- chapter1 Contents···003 chapter2 Logic gate···005 chapter3 Simulation···006 chapter4 Picture···006 chapter5 Etc···006 1. Contents 1) BCD Adder Binary Sum BCD Sum Decimal 000100..
인간공학 - 수제화 공장의 인간공학적 설계
리포트 > 사회과학    19페이지 
수제화 공장의 인간공학적 설계 목 차 1. 연구 배경 2. 연구 목적 3. 조사 내용 작업자의 안전 측면 ㉠ 화재의 위험성 ㉡ 작업 기계의 안전장치 부족 작업자의 자세 측면 ㉠ 가죽에 신발의 본뜨는 작업 ..
산업공학(시뮬레이션, 선형계획법, 매니지먼트 게임)
리포트 > 경영/경제    4페이지 
산업공학(시뮬레이션, 선형계획법, 매니지먼트 게임) ... 자세한 내용은 본문 참고
개념, 정의, 특징, 특성, 과제
생명공학기술의 안전·윤리문제에 대한 법적 대응
리포트 > 공학/기술    15페이지 
발표 8 생명공학기술의 안전․윤리문제에 대한 법적 대응 Ⅰ. 생명공학기술과 안전․윤리문제 ○ 생명공학기술의 발전은 인류의 식량위기의 해결에 공헌하고 의료복지를 향상시키는 등 산업적 유용성이 커 국가전략..
공학, 기술
환경공학_기술_신입_자기소개서_샘플
서식 > 자기소개서    2페이지 
환경공학_기술_신입_자기소개서_샘플 <세부내용> 1.성장과정 '끈기와 노력만이 이상을 현실로 바꾸는 힘이 된다'는 신념은 농업에 종사하시는 아버지 생략 2.성격의 장․단점 말하는 사람과 노력하는 사람이..
환경공학, 기술, 신입자소서, 신입자기소개서, 자소서
기계공학 - 인장실험
리포트 > 공학/기술    16페이지 
1. 실험목적 ....
인물 참구-가치공학의 창시자 마일스
리포트 > 기타    2페이지 
인물 참구 - 가치공학의 창시자 마일스 (L.D. Miles) “제품을 구입하는 고객은 그 제품의 기능을 사는 것이기 때문에 획득 가능한 자재, 장비, 인력으로 어떻게 그 기능을 제공할수 있느냐에 근거를 두고 출발하..
호텔주방의 인체공학공간설계와 개발절차
리포트 > 생활/환경    32페이지 
본 자료는 현)호텔조리외식업에서 15여년 근무한 전문가가 작성한 자료로서 호텔 및 외식분야 주방에서 인체공학적으로 공간설계와 개발절차에 대해 상세하게 정리하였고, 오랜기간 조리외식분야 프로 전문가가 심..
주방관리, 주방공간설계, 주방작업동선, 인체공학, 인체치수, 식당시설 개발절차, 설계, 작업중심점, 조리공간, 주방동선
디지털공학 설계과제[7-세그먼트]
리포트 > 공학/기술    8페이지 
디지털공학 설계과제 7-Segment Indicator 7-세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자이다. 막대모양의 LED(Light Emitted Diode) 7개를 8자 모양으로 배열시키고 각..
[전자공학 논문] 네트워크 인터페이스 카드에 기반한 호스트 독립적인 네트워크 시스템
리포트 > 공학/기술    45페이지 
네트워크 인터페이스 카드에 기반한 호스트 독립적인 네트워크 시스템 (Utilizing Network Interface Card for Host-Independent Network Systems) I. 서론 ....
공학기술_기계설계_신입_자기소개서_샘플
서식 > 자기소개서    2페이지 
공학기술_기계설계_신입_자기소개서_샘플입니다. 성장과정 관심 있는 사물을 분석하고 스크랩하는걸 즐겼고 이것이 공대에 들어오고 공군에 입대한 큰 계기가 (중략) 장점 '내일은 내가'라는 생각으로 일 처리..
공학, 기술, 기계, 설계, 자기소개서
공학에서의 위험 안전 그리고 책임ppt
리포트 > 공학/기술    33페이지 
공학에서의 위험 안전 그리고 책임 목차 서론 필연적으로 위험을 내포 기존에 안전하다고 생각하는 것 새로운 위험의 발견 가능성 서론 서론 공학 헌장에서 말하는 위험과 안전 모든 공학 헌장은 안전을 최우선 ..
[디지털공학 설계] 7-세그먼트[7-Segment Indicator]
리포트 > 공학/기술    8페이지 
디지털공학 설계과제 7-Segment Indicator 1. 분석 7-세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자이다. 막대모양의 LED(Light Emitted Diode) 7개를 8자 모양으로 배열..
교육철학의 성격 - 교육에 관한 지식과 교육사상, 교육이론과 교육실제, 전통적 교육철학과 분석적 교육철학
리포트 > 경영/경제    9페이지 
교육철학의 성격 - 교육에 관한 지식과 교육사상, 교육이론과 교육실제, 전통적 교육철학과 분석적 교육철학 목차 교육철학의 성격 I. 교육에 관한 지식과 교육사상 II. 교육이론과 교육 실제 III. 전..
개념, 정의, 특징, 특성, 문제점
  이전    다음