로고
(검색결과 약 31,298개 중 11페이지)
생리학 실험보고서-심전도의 이해
리포트 > 의/약학    19페이지 
생리학 실습 보고서 심 전 도 1. 서론 심전도(electrocradiogram)란 무엇인가 그 원리에 대하여 살펴보자. (1) 심장의 구조 1) 심막(Pericardium) - 크게 외측의 섬유성 심막과 내측의 장막성 심막으로 나뉘는..
정보통신 실습 - 부울대수의 정리(결과 보고서)
리포트 > 공학/기술    6페이지 
부울대수의 정리 1. 실험 목적 ▣ 부울대수(Boolen algebra)의 기본적인 공리와 정리를 이해하고 증명한다. ▣ 부울대수식을 이용한 논리회로의 간략화 및 논리식 표현을 익힌다. ▣ 다양한 논리회로를 부울대수식으..
정보통신 실습 - AND_OR_NOT 게이트 실험(결과 보고서)
리포트 > 공학/기술    4페이지 
ANDORNOT 게이트 실험 1. 실험 목적 ▣ 논리 게이트인 AND, OR, NOT 게이트의 동작특성을 이해한다. ▣ AND, OR, NOT 게이트의 진리표와 논리식을 실험을 통해 확인한다. 2. 실험 결과 1 : (+), 0 : (-) 표 1 AND..
(성심병원) 사회복지 현장실습 보고서, 의료사회사업 사례개입 1case 수록
리포트 > 사회과학    6페이지 
(성심병원) 사회복지 현장실습 보고서, 사례 1case 수록 보고서 입니다. Ⅰ. 사례요약 본 사례는 상앙동악성신생물(비강암) 수술을 받고 퇴원한 환자가 다시 암이 재발하여 경제적 어려움을 호소하여 의료사회복..
성심병원, 병원현장실습, 의료사회사업사례, 병원사례개입, 현장실습보고서
학습자료개발결과보고서
서식 > 학교서식    1페이지 
학습자료개발 결과 보고서 학습자료명 학습자료의 구분 수업용 교재 (), 향상교육 교재 (), 실험실습지침서( ) 사이버 강의 (), 디지털 교재 (),기타() 연구책임자 학과 직급 성명 공동 연..
전기전자 실험 - 브리지정류회로 결과 보고서
리포트 > 공학/기술    5페이지 
실습목적 -정류다이오드를 이용하여 브리지 정류회로를 구성하고 다이오드 입출력 전압을 비교하여 다이오드의 특성을 파악하고, 정류회로의 기능을 이해한다. 또한, 브리지 정류회로의 장단점을 확인 하여 본다..
청소년회관기관분석보고서
리포트 > 교육학    5페이지 
기관분석보고서 작성일 실습기관 관악청소년회관 실습실습지도자 임금순 실 습 기 관 운 영 주 체 기관(시설)명 관악청소년회관 법 인 명 온터두레회 소 재 지 서울특별시 관악구 신림9동 1..
청소년회관, 청소년기관, 청소년회관기관, 청소년회관 분석
용접 실습 보고서 - 용접의 개념 및 원리와 분류 및 용도
리포트 > 공학/기술    6페이지 
1. 제목 : 용접의 개념 및 원리와 분류 및 용도 2. 목적 : 용접의 개념 및 원리와 분류 및 용도에 대하여 알아본다. 3. 이론적 배경 재료를 영구히 결합하는 데는 다양한 방법이 사용된다. 용접은 열과 압력으..
정보통신 실습 - 옴의 법칙 및 키르히호프의 법칙(결과 보고서)
리포트 > 공학/기술    4페이지 
옴의 법칙 및 키르히호프의 법칙 1. 실험 목적  ▣ 회로상에서 전류, 전압 그리고 저항과의 관계(옴의 법칙)를 실험적으로 증명해 본다.  ▣ 직렬, 병렬 회로에서의 키르히호프의 법칙을 실험을 통해 확인해 본다..
어린이집 8월~9월 3주간 보육실습일지(보육실습기관현황, 주간보육계획안, 실습생소감, 실습평가
리포트 > 사회과학    23페이지 
직접 작성한 일지입니다. 잘되어서 뿌듯하고 학점도 잘 받았습니다. 1. 실습기관 현황 2. 월간계획표(전체) 3. 식단 4. 보육실습일지 5. 실습보고서 - 설립 배경과 특성 부모들이 마음놓고 아이들을 맡길 수 있고,..
보육실습, 보육실습일지, 어린이집실습, 주간보육계획안, 일일보육실습일지, 지도교사평가, 실습평가보고서, 보육현장실습
기계공작실습 - 선반 실험 보고서
리포트 > 공학/기술    12페이지 
1. 선반에 의한 절삭작업 선반은 가공물에 회전운동을, 그리고 공구인 바이트(gingle point tool 또는 formed tool)에 필요한 절삭깊이(depth of cut)와 피드(feed)를 주어 旋削(turning)을 하는 공작기계로서 기..
기계공작실습 - 밀링 실험 보고서
리포트 > 공학/기술    8페이지 
▶ 밀링머신의 개요 1. 밀링머신의 작업 종류 그림 1 밀링 머신 - 밀링머신은 원주 위에 절삭날이 등간격으로 배치되어 있는 커터를 회전시켜 공작물이 고정된 테이블을 이 송하면서 가공하는 공작기계이다. - ..
사회복지기관분석보고서_청소년회관기관분석보고
리포트 > 생활/환경    5페이지 
사회복지기관분석보고서 작성일 실습기관 관악청소년회관 실습실습지도자 임금순 실 습 기 관 운 영 주 체 기관(시설)명 관악청소년회관 법 인 명 온터두레회 소 재 지 서울특별시 관악구 ..
사회복지기관분석보고서, 청소년회관기관분석보고
논리회로 설계 - 디코더 인코어 보고서
리포트 > 공학/기술    6페이지 
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all;..
정보통신 실습 - 드 모르간의 법칙(결과 보고서)
리포트 > 공학/기술    5페이지 
드 모르간의 법칙 1. 실험 목적 ▣ 드 모르간 법칙을 소자를 이용하여 실험적으로 증명한다. ▣ 드 모르간 법칙을 이용하여 부울대수 변환 및 논리회로를 간소화하는 능력을 익힌다. ▣ 논리소자의 동작을 이해한다...
  이전    다음