디지털회로실험 예비보고서-산술논리 연산 장치

1. 디지털회로실험 예비보고서-산술논리 .hwp
2. 디지털회로실험 예비보고서-산술논리 .pdf
디지털회로실험 예비보고서-산술논리 연산 장치
디지털회로실험 예비보고서

( 산술논리연산장치)


과목명
:


담당교수
:


학번
:


전공
:


이름
:

♣조:

■ 실험제목 : 산술논리연산장치

■ 관련이론
(1) ALU (arithmetic-logic unit) ; 산술논리 연산장치
ALU는 중앙처리장치의 일부로서 컴퓨터 명령어 내에 있는 연산자들에 대해 연산과 논리
동작을 담당한다. 몇몇 프로세서들에서는 ALU가 연산장치(AU)와 논리장치(LU)의 두
부분으로 나뉘어져있는 경우도 있다. 또, 어떤 프로세서들은 1개 이상의 AU가 장착된
경우도 있는데, 예를 들어 고정 소숫점 연산을 위한 것과 부동 소숫점 연산을 위한 전용
AU를 별도로 두는 경우이다 (PC의 부동 소숫점 연산은 별도로 분리된 칩에 있는 부동
소숫점 처리장치(floating-point unit)에서 행해지는 경우도 있는데, 이것을 수치연산 보조
프로세서(numeric coprocessor)라고 부른다).
대체로 ALU는 입력과 출력을 위해 프로세서와 메인 메모리 그리고 입출력 장치에 직접
액세스를 하는데, 입력과 출력은 버스라고 부르는 전자적인 통로를 따라 흐르게 된다.
입력은 연산코드(때론 줄여서 op code라 함)을 포함하고 있는 기계 명령어, 하나 또는
그 이상의 연산자 그리고 형식코드로 구성된다. 연산코드는 수행해야 할 연산이 무엇인지를
ALU에게 알려주며, 연산자는 그 연산을 위해 사용된다. 형식코드는 연산코드와 함께
결합되어, 연산의 대상이 고정 소숫점 형식인지, 부동 소숫점 형식인지를 알려준다.
출력은 레지스터 내에 있는 결과와, 수행된 연산이 성공적이었는지에 관한 상태를 알려
주는 값들로 구성된다.
일반적으로 ALU는 입력된 연산자와, 현재 더해지고 있는 연산자, 누산기에 저장된 결과,
그리고 시프트된 결과들을 저장하기 위한 공간을 가지고 있다. ALU 내의 비트의 흐름과,
....